Feature request: bulk vcl include

Vedad KAJTAZ, Kigo Inc. vedad at kigo.net
Wed Feb 6 10:13:59 CET 2013


Hi,

It would be nice if one could bulk-include a whole directory from VCL.

Eg:

include "custom/"

or

include "custom/*.vcl"

I couldn't find such a feature-request on wiki.

Thanks,
Best regards,

-- 
Vedad KAJTAZ
Head of Software Development
T: +33 9 72 39 69 65 | E: vedad at kigo.net

kigo.net | Vacation Rental Software, Websites & Channel Manager

-------------- next part --------------
A non-text attachment was scrubbed...
Name: smime.p7s
Type: application/pkcs7-signature
Size: 3734 bytes
Desc: Signature cryptographique S/MIME
URL: <https://www.varnish-cache.org/lists/pipermail/varnish-misc/attachments/20130206/3f12ac00/attachment.bin>


More information about the varnish-misc mailing list