[Varnish] #1246: Assert error in cnt_hit(), cache_center.c line 1025

Varnish varnish-bugs at varnish-cache.org
Mon Jan 7 12:15:10 CET 2013


#1246: Assert error in cnt_hit(), cache_center.c line 1025
----------------------+---------------------
 Reporter:  psa       |       Owner:  martin
     Type:  defect    |      Status:  new
 Priority:  normal    |   Milestone:
Component:  varnishd  |     Version:  3.0.3
 Severity:  normal    |  Resolution:
 Keywords:            |
----------------------+---------------------
Changes (by martin):

 * owner:   => martin


-- 
Ticket URL: <https://www.varnish-cache.org/trac/ticket/1246#comment:2>
Varnish <https://varnish-cache.org/>
The Varnish HTTP Accelerator




More information about the varnish-bugs mailing list